标签Xilinx ISE下的文章

fz 发布于 05月09, 2017

用Xilinx ISE在FPGA上使用VHDL编程时报错端口连接非法

我在做接口实验的时候,想把音频和视频的两个接口合在一起,核心思想是,在视频的工程里,把音频作为组件引入,把端口对应上,就可以了。不过过程却没那么顺利。 我使用的是Xilinx ISE 14.4,使用VHDL语言对FPGA进行编程,实现对接口的控制。 当我把两个模块组合起来之后,却发现无法通过综合,报错ERROR:Xst:2035 - Port <clk> has illegal co...

阅读全文 »